CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA LCD

搜索资源列表

  1. fpga-tft

    1下载:
  2. fpga驱动tft lcd彩屏,实现显示功能-The fpga drive tft lcd color display, the display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1775
    • 提供者:李林
  1. lcd

    0下载:
  2. 基于fpga的tft液晶驱动,控制器是ILI9325,是verilog写的,16位并口模式,我上网上搜索了很久都没找到的,-Fpga based on the tft LCD driver, controller ILI9325, is written in verilog, 16-bit parallel mode, on-line search for a long time I did not find,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:3734528
    • 提供者:第三方
  1. lcd

    0下载:
  2. 利用FPGA驱动LCD显示中文字符的VHDL程序-Use of FPGA-driven LCD display Chinese characters of the VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4631
    • 提供者:朱传雨
  1. lcd

    0下载:
  2. 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1053571
    • 提供者:luojicheng
  1. lcd_control_rtl_v3

    0下载:
  2. LCD display driver for xilinx fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3902
    • 提供者:Digitalkurt
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. FPGALCD

    0下载:
  2. FPGA控制LCD128*64程序,时序已仿真引脚锁定,并在硬件能够上实现汉字显示。-FPGA control LCD128* 64 procedures have been timing simulation, and hardware to achieve display of Chinese characters.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1242145
    • 提供者:李恺君
  1. LCD

    0下载:
  2. FPGAC串口数据接收 lcd液晶显示程序-FPGA lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3113106
    • 提供者:lishuang
  1. lcd_driver

    0下载:
  2. 用FPGA控制12864液晶输出时钟信息 很好 可以根据自己的需要更改 -12864 LCD control with FPGA clock output information can be very good according to their need to change the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406869
    • 提供者:刘军鹏
  1. FPGA

    0下载:
  2. 描述了利用FPGA和51单片机实现等精度频率计,这个只包含FPGA部分的源程序-Describes the use of FPGA and MCU 51, such as precision frequency meter, the FPGA contains only part of the source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:436790
    • 提供者:sword
  1. LCD-VHDL

    0下载:
  2. LCD控制VHDL程序与仿真,FPGA驱动LCD显示中文字符“年”程序-fpga/cpld
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:4560
    • 提供者:cgsljl
  1. LCD_Top

    0下载:
  2. FPGA 的verilog LCD显示代码-FPGA code in verilog LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2758
    • 提供者:xcxscf
  1. de2_dac_lcd

    0下载:
  2. FPGA KIT DE2-35 This project outputs a selected voltaje using VGA DAC, the DAC module is controlled using LCD display and buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:571615
    • 提供者:juan pablo
  1. lcd

    0下载:
  2. LCD SpartaN3E fpga vi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:368338
    • 提供者:unu
  1. lcd_drv

    0下载:
  2. IP core for LCD controller of Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2061
    • 提供者:phong duong
  1. lab3

    0下载:
  2. VHDL code for using LCD in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:46240
    • 提供者:Sara
  1. vga-connector_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:229356
    • 提供者:Sara
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. lcm_1602

    0下载:
  2. EP2C8 FPGA下的1602 LCD控制程序.-EP2C8 FPGA control procedures under the 1602 LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:819281
    • 提供者:
« 1 23 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com